|
楼主 |
发表于 2014-3-21 18:23:47
|
显示全部楼层
回复 2# A1985
sequence里面怎么设呢?代码如下
virtual task body();
b_sequence b_sq;
a_sequence a_sq;
if(starting_phase != null)
starting_phase.raise_objection(this);
`uvm_info("TRACE", $sformatf("%m"), UVM_HIGH);
fork
begin repeat(20) `uvm_do_on(a_sq,p_sequencer.a_sqr); end
begin repeat(20) `uvm_do_on(b_sq,p_sequencer.b_sqr); end
join
repeat(10) #`ECLK_PERIOD;
if(starting_phase != null)
starting_phase.drop_objection(this); |
|