|
发表于 2012-12-3 13:43:55
|
显示全部楼层
我的意思是一个例子,具体的名字还是要和模块名一致,如果你只有一个模块的话就直接写
create_generated_clock [get_pins 模块名/时钟寄存器/Q] -name l_clk -source { g_clk} -divide_by 10,
如果只有顶层模块。 模块名就不用写了。 你会申明一个寄存器,当然我不知道你会怎么申明哈。我给你一个列子 你看看也许明白了
module div(
clk,
rst_n,
clk_div
);
input clk, rst_n;
output clk_div;
reg clk_div;
always @(posedge clk or negedge rst_n)
begin
if(!rst_n)
clk_div <= 1'b0;
else
clk_div <= ~ clk_div;
end
endmodule
时钟约束:
create_clock [get_ports clk] -name clk -period 10 -waveform {0 5}
create_generated_clock [get_pins clk_div_reg/Q] -name clk_div -source { clk} -divide_by 10
不知道 这样你能看明白不 |
|