|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
×
我的代码中定义了一个接口,在使用接口时,时钟采样值不对:
`timescale 1/100
interface Rx_if;
logic rvalid;
logic rready
logic [63:0] rdata;
clocking cb @(posedge clk);
default input #5,output #5;
output rready;
input rvalid,rdata;
endclocking : cb
modport TB (clocking cb);
endinterface :axi_if
virtual Rx_if.TB vTb
program automatic test(...)
vTb Rddata;
logic [63:0] RcvData;
...
...
while(rvalid&&rready==0)
@Rddata.cb;
RcvData = RcvData.rdata;
...
...
endprogram
RcvData 的值总是采集时钟上升沿之后的数据,正常应该采集时钟沿之前的数据,望高手指点! |
|